tag:blogger.com,1999:blog-48152735060307835182024-03-13T19:18:36.719+09:00+俺ログ俺のログをここにためておきます。
内容は、雑学から豆知識までいろいろ。
参考になればしてください。+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.comBlogger24125truetag:blogger.com,1999:blog-4815273506030783518.post-73486519137527037802009-11-12T22:02:00.007+09:002009-11-12T22:29:29.769+09:00tail -f, tee例えば、リダイレクトでファイルへ出力中にファイル末尾を動的に見たいとき、こんなことをするらしい。<br />% <span style="font-weight: bold;">tail -f </span><span style="font-style: italic;">filename</span><br />そうするとファイルが書き込まれるたびに末尾がぞろぞろと動的に出てくる。これは便利。<br /><br />これをperlでも実現できるみたい。基本的にtailが無い時に使える。<br /><br /># ファイルを開く<br />open( INPUT, "<$ARGV[0]" ) or die; # ファイルの末尾まで移動する<br />seek( INPUT, 0, 2 );<br /># ファイルの監視を開始する<br />while ( 1 ) {<br /># 一行ずつ読み込み続け、表示する while ( < input > ) {<br />print $_;<br />}<br /><br /># 50ミリ秒待って再度読み込む<br />select( undef, undef, undef, 0.05 );<br />}<br /><br /><a href="http://smdn.invisiblefulmoon.net/programming/perl/tips/#section.1.15">参考URL</a><br /><br />もともとは、perlで時間を指定してプログラムを制御できないかなぁと考えていたところ、こんなサイトを見つけたので、儲けもん。スリープを使えばいいのね。<br /><br /><br />今現在、センシングしたデータをリアルタイムでプロットするスクリプトが作れたら面白れーと思っていたので、ちょっとした収穫。<br /><br />ということでメモ。<br /><br />あ、あと追記。<br />コマンドのリダイレクトをするとファイルへ出力できて便利なんだけど、そのかわり標準出力をしなくなる。<br />ファイルへ出力をするけれども、標準出力をしたい!って時にはこんな欲張りなコマンドを。<br /><br />% <span style="font-style: italic;">command</span> | <span style="font-weight: bold;">tee</span> <span style="font-style: italic;">filename</span><br /><br />これは便利。+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-76267373687252474622009-11-12T21:36:00.003+09:002009-11-12T21:59:25.548+09:00gnuplotメモset xdata time<br />set timefmt "%Y/%m/%d %H:%M:%S"<br />set format x "%H:%M"<br /><span style="color: rgb(0, 0, 0);">上記の記述で、”X軸を時間軸とする”、”タイムフォーマットの指定”、”記述フォーマットの指定”をする。</span><br /><br />set xlabel "time"<br />set ylabel "accelX"<br /><span style="color: rgb(0, 0, 0);">X軸、Y軸のラベル指定</span><br /><br />set style line 1 lt 1 lw 3<br />set style line 2 lt 1 pt 7<br />set style line 3 lt 1 pt 8<br />set style line 4 lt 1 pt 9<br /><br />set term postscript<br />set output "accelX.ps"<br /><span style="color: rgb(0, 0, 0);">ポストスクリプトファイルへの出力</span><br /><br />#accelX <- コメントは'#'<br />plot "04.txt" using 1:7 title "nodeID: 04" with lines, \ <- 改行は'\'で。<br />"05.txt" using 1:7 title "nodeID: 05" with lines, \<br />"06.txt" using 1:7 title "nodeID: 06" with lines, \<br />"07.txt" using 1:7 title "nodeID: 07" with lines<br />pause -1<br /><span style="color: rgb(0, 0, 0);">この記述により、コマンドラインから、"</span><span style="font-weight: bold; color: rgb(0, 0, 0);">gnuplot <span style="font-style: italic;">file</span></span><span style="color: rgb(0, 0, 0);">"と実行した際に、グラフだけ出力した状態で止められる。</span>+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-47800371295552575312009-11-12T21:29:00.008+09:002009-11-12T21:35:49.549+09:00ps -> gif<span style="font-weight: bold;">gs -q -dNOPAUSE -dBATCH -sDEVICE=ppm -sOutputFile=- foo.ps | ppmtogif >foo.gif</span><br /><br /><br />デフォルトの解像度は 72×72 ,“-r600x600” のようなオプションで解像度が変えられるようだ。<br /><br /><span style="font-weight: bold;">gs -q -dNOPAUSE -dBATCH -sDEVICE=ppm -sOutputFile=- -r600x600 foo.ps | ppmtogif >foo.gif<br /></span><br /><br />周囲に余白がある場合は,以下のように。<br /><br /><span style="font-weight: bold;">gs -q -dNOPAUSE -dBATCH -sDEVICE=ppm -sOutputFile=- foo.ps | pnmcrop | ppmtogif >foo.gif</span><br /><br /><br />上で使っている <span style="font-weight: bold;">ppmtogif</span>,<span style="font-weight: bold;">pnmcrop</span> は <span style="font-weight: bold;">PBMPLUS</span> や <span style="font-weight: bold;">NetPBM</span> というフリーソフトの画像フォーマット変換パッケージに入っているみたい。この <span style="font-weight: bold;">ppmtogif</span> の部分を変えればいろいろな形式に変換可能。<br /><br />参考URL<br /><a href="http://oku.edu.mie-u.ac.jp/%7Eokumura/texwiki/?Ghostscript">http://oku.edu.mie-u.ac.jp/~okumura/texwiki/?Ghostscript</a>+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-14299584191262133062009-02-03T11:35:00.000+09:002009-02-03T11:36:07.012+09:00シェルスクリプトと実行方法シェルスクリプトは純粋なテキストファイルですからテキストエディタで書き起こしたり、修正することができます。そして、そのスクリプトを実行させるには端末からのシェルへの入力を代行させる意味で<br /><br /> $ sh < script <br /><br />とシェルの標準入力に流し込む方法が使えます。また、シェルは引数があるとそれを入力ファイルとして扱いますから<br /><br /> $ sh script <br /><br />としても構いません。しかし、このようにスクリプトを起動する度にシェルの引数とするのは面倒ですから、スクリプトに「実行権」を与えて使います。「実行権」とはminixのファイルシステムに用意されている許可属性の1つで、この権利が与えられているファイルはコマンドとして直接起動することができます。<br /><br />テキストファイルとして書かれたスクリプトに実行権を与えるにはchmodコマンドを使用して、<br /><br /> $ chmod +x script <br /><br />とします。このあとはスクリプトを修正してもファイルの実行権が失われることはありません。<br /><br />このようにしてコマンドラインからシェルスクリプトを起動すると、カレントシェルは子プロセスとしてもう一つシェルを走らせ、そこでシェルスクリプトを実行します。この実際にスクリプトを実行するために走るシェルをサブシェル といいます。子プロセスのサブシェルは親プロセス(カレントシェル)の環境を受け継ぐことはできますが、その逆はできません。例えば、実行属性を与えたシェルスクリプトを使って環境変数を再設定しようとしても、再設定されるのはサブシェル側の環境変数であってカレントシェルのものではありません。<br /><br />現在の環境変数を再設定するにはスクリプトをカレントシェルで実行しなければなりません。このためシェルにはドットコマンドと呼ばれるものが用意されおり、コマンドラインでドット .の後にスペースを置いて実行したいスクリプト名を書きます。<br /><br /> $ . script <br /><br />ドット .を先頭に置くことによりカレントシェルは scriptを自分自身で実行しますので、現在の環境変数を再設定することができます。なお、ドット .に続く scriptには実行権の必要はありません。+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-50270979899250704592009-01-29T10:46:00.001+09:002009-01-29T10:48:31.120+09:00graduation thesis-- memo --<br />図はtgifが良いみたい。+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-83305022839788487092008-12-15T20:07:00.001+09:002008-12-15T20:08:23.131+09:00ちょっと役立つかもしれない可能性が無きにしも非ずな表現<span style="font-weight: bold;">Don't patronize me!</span><br /><span style="color: rgb(0, 0, 0);">ばかにすんなよー!</span>+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-88792129290252453852008-12-12T22:00:00.003+09:002008-12-12T22:05:15.968+09:00find ways of v-ing/ find a way of<span style="font-weight: bold;">find ways of v-ing/ find a way of </span><br /><br /><span style="font-weight: bold;">find</span> new <span style="font-weight: bold;">ways</span> <span style="font-weight: bold;">of</span> prevent<span style="font-weight: bold;">ing</span><br />><span style="color: rgb(0, 0, 0);">~を防ぐ[避ける・阻止する・回避する・予防する]新たな方法[手段]を見つける</span><br /><br /><br />race to <span style="font-weight: bold;">find ways of</span> manipulating genes<br />><span style="color: rgb(0, 0, 0);">遺伝子を操作する方法を発見しようと競う[競って発見しようとする]</span><br /><br /><span style="font-weight: bold;">find</span> a <span style="font-weight: bold;">way of</span> ~<br /><span style="color: rgb(0,0,0);">~の方法を見つけ出す</span><br /><br /><span style="font-weight: bold;">find</span> a <span style="font-weight: bold;">way of</span> prov<span style="font-weight: bold;">ing</span> ~<br /><span style="color: rgb(0,0,0);">~を証明する方法を探す</span><br /><br /><br />1 FIND WAYS OF MAKING 10<br />2 FIND WAYS OF USING 7<br />3 FIND WAYS OF GETTING 5<br />4 FIND WAYS OF IMPROVING 3<br />5 FIND WAYS OF PROVIDING 3<br />6 FIND WAYS OF EXPRESSING 3<br />7 FIND WAYS OF CONNECTING 2<br />8 FIND WAYS OF DEALING 2<br />9 FIND WAYS OF COUNTERING 2<br />10 FIND WAYS OF CONTROLLING 2<br />11 FIND WAYS OF HELPING 2<br />12 FIND WAYS OF INTEGRATING 2<br />13 FIND WAYS OF KEEPING 2<br />14 FIND WAYS OF MAINTAINING 2<br />15 FIND WAYS OF LIVING 2<br />16 FIND WAYS OF PREVENTING 2<br />17 FIND WAYS OF SIMPLIFYING 2<br />18 FIND WAYS OF REDUCING 2<br />19 FIND WAYS OF WORKING 2<br /><br />1 FIND A WAY OF LIVING 5<br />2 FIND A WAY OF MAKING 3<br />3 FIND A WAY OF EXPLAINING 3<br />4 FIND A WAY OF DEALING 3<br />5 FIND A WAY OF DOING 3<br />6 FIND A WAY OF GIVING 3<br />7 FIND A WAY OF BALANCING 2<br />8 FIND A WAY OF COPING 2<br />9 FIND A WAY OF GETTING 2<br />10 FIND A WAY OF FORMING 2+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-10650986039774216372008-12-12T21:57:00.002+09:002008-12-12T22:00:18.134+09:00deal with【句動】deal with【句動】<br />><span style="color: rgb(0, 0, 0);">~を相手にする、~を処理[処置・処遇]する、~に対応[対処・応対]する、~に取り組む</span><br /><br />・This article <span style="font-weight: bold;">deals with</span> the economic growth of America.<br /><span style="color: rgb(0, 0, 0);">この記事はアメリカの経済成長を論じている。</span><br />処分する、始末する<br />~と取引する、~と交渉する、~と折り合いをつける、収拾する<br />~と関係する、~と手を結ぶ、付き合う、相手にする<br />料理する<br /><br /><span style="font-weight: bold;">deal with</span> ~ actively<br />~を前向きに検討する<br /><br /><span style="font-weight: bold;">deal with</span> ~ calmly<br />~に冷静に対処する<br /><br /><span style="font-weight: bold;">deal with</span> ~ constructively<br />~に前向きに対処する<br /><br /><span style="font-weight: bold;">deal with</span> ~ cooperatively<br />~に協調的に取り組む<br /><br />1 DEAL WITH THE PROBLEM 258<br />2 DEAL WITH THE PROBLEMS 129<br />3 DEAL WITH THE ISSUE 126<br />4 DEAL WITH THE FACT 105<br />5 DEAL WITH THE SITUATION 89<br />6 DEAL WITH THE ISSUES 67<br /><br />8 DEAL WITH THE QUESTION 49<br /><br />10 DEAL WITH THE CONSEQUENCES 43<br /><br />1 DEALT WITH THE ISSUE 31<br />2 DEALT WITH THE SAME 16<br />3 DEALT WITH THE PROBLEM 15<br />4 DEALT WITH THE QUESTION 10<br />5 DEALT WITH THE SUBJECT 10<br />6 DEALT WITH THE ISSUES 9+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-4560901661499264022008-12-12T21:54:00.003+09:002008-12-12T21:56:18.298+09:00Take up the question<span style="font-weight: bold;">Take up</span> the question<br /><br /><span style="font-weight: bold;">take up</span> a problem<br /><span style="color: rgb(0, 0, 0);">問題を取り上げる</span><br /><span style="font-weight: bold;"><br />take up</span> a proposal<br /><span style="color: rgb(0, 0, 0);">提案[申し出]を取り上げる</span><br /><span style="font-weight: bold;"><br />take up</span> the challenge<br /><span style="color: rgb(0, 0, 0);">挑戦に応じる、難題に立ち向かう</span><br /><span style="font-weight: bold;"><br />take up</span> the slack<br /><span style="color: rgb(0, 0, 0);">たるみを取る、足りない部分を補う</span><br /><br /><br />1 take up the challenge 11<br />2 took up the question 10<br />3 took up the issue 9<br />4 take up the slack 8<br />5 take up the question 8<br />6 took up the cause 8<br />7 take up the issue 7<br />8 takes up the question 7<br />9 take up the task 4<br />10 take up the cause 4<br />11 take up a position 4<br />12 takes up the issue 4<br />13 took up the theme 4<br />14 take up the work 3<br />16 taken up the challenge 3<br />17 taken up the cause 3<br />18 take up the subject 3<br />19 take up the matter 3<br />20 taken up the slack 3+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-30642957607870924602008-12-12T21:49:00.003+09:002008-12-12T21:53:30.739+09:00Avoid Ving【他動】Avoid Ving【他動】<br /><br />〔<span style="color: rgb(0, 0, 0);">望ましくないことを</span>〕<span style="color: rgb(0, 0, 0);">避ける、回避する、逃れる、敬遠する</span><br /><br />・<span style="font-weight: bold;">avoid</span> <span style="font-style: italic;">touch</span><span style="font-weight: bold;">ing</span> these areas. : <span style="color: rgb(0, 0, 0);">《マニュアル》図に示す部分には触れないでください。</span><br /><br /><span style="font-weight: bold;">avoid</span> <span style="font-style: italic;">alias</span><span style="font-weight: bold;">ing</span><br /><span style="color: rgb(0, 0, 0);">エイリアシング[偽信号]の発生を防止する</span><br /><br /><span style="font-weight: bold;">avoid</span> <span style="font-style: italic;">answer</span><span style="font-weight: bold;">ing</span> questions<br /><span style="color: rgb(0, 0, 0);">質問をかわす</span><br /><br /><span style="font-weight: bold;">avoid</span> <span style="font-style: italic;">ask</span><span style="font-weight: bold;">ing</span> too many questions<br /><span style="color: rgb(0, 0, 0);">質問をし過ぎないようにする、質問が多過ぎないようにする</span><br /><br /><span style="font-weight: bold;">avoid</span> <span style="font-style: italic;">be</span><span style="font-weight: bold;">ing</span> a victim of<br /><span style="color: rgb(0, 0, 0);">~の犠牲者にならないようにする</span><br /><br /><br />1 avoid being 138<br />2 avoid using 58<br />3 avoid making 50<br />4 avoid having 46<br />5 avoid paying 30<br />6 avoid becoming 25<br />7 avoid getting 22<br />8 avoid giving 22<br />9 avoid taking 22<br />10 avoid losing 21<br />11 avoid creating 16<br />12 avoid offending 16<br />13 avoid dealing 14<br />14 avoid imposing 14<br />15 avoid thinking 14<br />16 avoid repeating 13<br />17 avoid confronting 12<br />18 avoid doing 12<br />19 avoid damaging 11<br />20 avoid looking 11+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-4212145072758186662008-12-12T21:44:00.005+09:002008-12-12T21:49:06.630+09:00Use the X to VUse the X to V<br /><br /><span style="font-weight: bold;">use the</span> <span style="font-style: italic;">drug</span> <span style="font-weight: bold;">to</span> <span style="font-style: italic;">treat</span> *<br /><span style="color: rgb(0, 0, 0);"> その薬を~を治療するために使用する</span><br /><br /><span style="font-weight: bold;">use the</span> <span style="font-style: italic;">Internet</span> <span style="font-weight: bold;">to</span> <span style="font-style: italic;">contact</span> *<br /><span style="">インターネットを使って~に連絡を取る</span><br /><br /><span style="font-weight: bold;">use the</span> <span style="font-style: italic;">Internet</span> <span style="font-weight: bold;">to</span> <span style="font-style: italic;">do</span> legal research<br /><span style="">インターネットを使って法律的な調査を行う</span><br /><br /><span style="font-weight: bold;">use the</span> <span style="font-style: italic;">Internet</span> <span style="font-weight: bold;">to</span> exchange data<br /><span style="">インターネットを使って[利用して]データを交換する[やりとりする]</span><br /><br /><span style="font-weight: bold;">use the</span> <span style="font-style: italic;">Internet</span> <span style="font-weight: bold;">to</span> <span style="font-style: italic;">find</span> *<br /><span style="">インターネットを使って~を探す[捜す]</span><br /><br /><span style="font-weight: bold;">use the</span> <span style="font-style: italic;">Internet</span> <span style="font-weight: bold;">to</span> <span style="font-style: italic;">get</span> more information<br /><span style="">インターネットを使ってもっと情報を得る</span><br /><br />1 USE THE MONEY TO BUY 17<br />2 USE THE INTERNET TO RESEARCH 11<br />3 USE THE MONEY TO PAY 11<br />4 USE THE INTERNET TO FIND 9<br />5 USE THE PHONE TO CALL 9<br />7 USE A KNIFE TO CUT 7<br />8 USE THE MONEY TO HELP 7<br />9 USE THE INTERNET TO SEARCH 6<br />10 USE THE PROCEEDS TO PAY 6<br />11 USE THE TERM TO REFER 6<br />12 USE THE MONEY TO BUILD 5<br />13 USE THE PROCEEDS TO BUY 5<br />14 USE THE TIME TO TALK 5<br />15 USE HIS INFLUENCE TO GET 4<br />16 USE THE INTERNET TO GET 4<br />17 USE THE INTERNET TO MAKE 4<br />18 USE THE ROUTER TO CUT 4<br />19 USE THEIR MONEY TO BUY 4<br />20 USE YOUR PHONE TO CALL 4<br />26 USE HIS MICROPHONE TO ENHANCE 3<br />28 USE THE FUNDS TO PAY 3<br />29 USE THE INFORMATION TO DEVELOP 3<br />30 USE THE INFORMATION TO IMPROVE 3<br />31 USE THE INFORMATION TO PLAN 3<br />32 USE THE INTERNET TO EXPLORE 3<br />33 USE THE INTERNET TO OFFER 3<br />34 USE THE MONEY TO GIVE 3<br />35 USE THE RESULTS TO IMPROVE 3<br />36 USE THE SYSTEM TO GET 3<br />37 USE THE TIME TO CATCH 3<br />39 USE THE WWW TO MANAGE 3<br />41 USE YOUR FINGERS TO PULL 3<br />42 USE YOUR POWER TO HELP 3<br />43 USE A COMPUTER TO COMMUNICATE 2<br />45 USE A COMPUTER TO MAKE 2<br />48 USE A CONSULTANT TO HELP 2<br />58 USE A KNIFE TO REMOVE 2<br />59 USE A KNIFE TO SCRAPE 2<br />60 USE A LADDER TO REACH 2<br />61 USE A MARKER TO LABEL 2<br />62 USE A MIRROR TO REFLECT 2<br />63 USE A MOUSE TO SELECT 2<br />64 USE A PENCIL TO DRAW 2<br />71 USE A WIKI TO CREATE 2<br />73 USE HIS INFLUENCE TO HAVE 2<br />88 USE THE INFORMATION TO GET 2<br />89 USE THE INFORMATION TO MANIPULATE 2<br />90 USE THE INFORMATION TO TARGET 2<br />91 USE THE INFORMATION TO WORK 2<br />92 USE THE INTERNET TO ACCESS 2<br />93 USE THE INTERNET TO CHECK 2<br />94 USE THE INTERNET TO CONDUCT 2<br />95 USE THE INTERNET TO DISTRIBUTE 2<br />96 USE THE INTERNET TO DO 2<br />97 USE THE INTERNET TO ENHANCE 2<br />98 USE THE INTERNET TO EXCHANGE 2<br />99 USE THE INTERNET TO HELP 2+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-61680011812770271792008-12-12T21:44:00.001+09:002008-12-12T21:44:38.830+09:00To help V and V<span style="font-weight: bold;">To help V and V</span><br /><br /><br />1 TO HELP CREATE AND SUSTAIN 2<br />2 TO HELP DEVELOP AND NURTURE 2<br />3 TO HELP DEVELOP AND MARKET 2<br />4 TO HELP ESTABLISH AND MAINTAIN 2<br />5 TO HELP FIND AND FIX 2<br />6 TO HELP PREVENT AND TREAT 2<br />7 TO HELP IDENTIFY AND TRACK 2<br />8 TO HELP IDENTIFY AND DEVELOP 2<br />9 TO HELP IMPROVE AND MAINTAIN 2<br />10 TO HELP PROTECT AND ENHANCE 2<br />11 TO HELP RESTORE AND PROTECT 2+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-68206741385553399072008-12-12T21:38:00.003+09:002008-12-12T21:43:54.223+09:00FOCUS ON V-ingコピペだけど、メモメモ。<br /><br />FOCUS ON V-ing<br /><br /><span style="font-weight: bold;">focused on being able to</span><br /><span style="color: rgb(0, 0, 0);">《be ~》~できるようになることを中心に[ばかり]考える</span><br /><br />In their research, the two companies <span style="font-weight: bold;">focused on downsizing</span> the antivirus software for personal computers so that it could be introduced into cellular phones.<br /><span style="color: rgb(0, 0, 0);">研究の中で、両社はパソコン用のウイルス対策ソフトを携帯電話に導入できるよう、小型化することに焦点を当てました。</span><br /><br /><span>The firm <span style="font-weight: bold;">focused on making</span> money available for investments.</span><br /><span style="color: rgb(0, 0, 0);">その会社は、投資にまわす資金作りに重点を置いた。</span><br /><br />1 FOCUS ON PROVIDING 19<br />2 FOCUSED ON PROVIDING 18<br />3 FOCUSED ON IDENTIFYING 17<br />4 FOCUSED ON CREATING 14<br />5 FOCUS ON IDENTIFYING 13<br />6 FOCUS ON MAINTAINING 12<br />7 FOCUS ON MAKING 12<br />8 FOCUS ON USING 12<br />9 FOCUS ON CREATING 11<br />10 FOCUS ON GETTING 11<br />11 FOCUSED ON GETTING 11<br />12 FOCUSED ON UNDERSTANDING 11<br />13 FOCUS ON IMPROVING 10<br />14 FOCUS ON ENHANCING 10<br />15 FOCUS ON DEVELOPING 9<br />16 FOCUS ON ACHIEVING 8<br />17 FOCUS ON PREPARING 8<br />18 FOCUSED ON DEVELOPING 8<br />19 FOCUSED ON ENHANCING 8<br />20 FOCUSED ON FINDING 8<br />21 FOCUSED ON IMPROVING 8<br />22 FOCUSED ON MAINTAINING 8<br />23 FOCUSED ON MAKING 8<br />24 FOCUS ON PREVENTING 7<br />25 FOCUS ON REDUCING 7<br />26 FOCUSED ON PREVENTING 7<br />27 FOCUSED ON TEACHING 7<br />28 FOCUSED ON USING 7<br />29 FOCUSES ON CREATING 7<br />30 FOCUS ON ESTABLISHING 6<br />31 FOCUS ON PROTECTING 6<br />32 FOCUSED ON ACHIEVING 6<br />33 FOCUSED ON ESTABLISHING 6<br />34 FOCUSED ON HELPING 6<br />35 FOCUSED ON REDUCING 6<br />36 FOCUSES ON ENHANCING 6<br />37 FOCUS ON HELPING 5<br />38 FOCUS ON CHANGING 5<br />39 FOCUS ON FINDING 5<br />40 FOCUS ON MAXIMIZING 5<br />41 FOCUS ON MEETING 5<br />42 FOCUS ON OBTAINING 5<br />43 FOCUS ON PROMOTING 5<br />44 FOCUS ON TEACHING 5<br />45 FOCUS ON WINNING 5<br />46 FOCUSED ON ADDRESSING 5<br />47 FOCUSED ON DECIDING 5<br />48 FOCUSED ON EVALUATING 5<br />49 FOCUSED ON PREPARING 5<br />50 FOCUSED ON REACHING 5<br />51 FOCUSED ON STUDYING 5<br />52 FOCUS ON DESIGNING 4<br />53 FOCUS ON EXAMINING 4<br />54 FOCUS ON INCREASING 4<br />55 FOCUS ON PRESENTING 4<br />56 FOCUS ON PRODUCING 4<br />57 FOCUS ON TRYING 4<br />58 FOCUS ON WORKING 4<br />59 FOCUSED ON CAPTURING 4<br />60 FOCUSED ON DISCOVERING 4<br />61 FOCUSED ON HAVING 4<br />62 FOCUSED ON OBTAINING 4<br />63 FOCUSED ON PREDICTING 4<br />64 FOCUSED ON PROTECTING 4<br />65 FOCUSED ON TRYING 4<br />66 FOCUSED ON WINNING 4<br />67 FOCUSES ON ADDRESSING 4<br />68 FOCUSES ON IDENTIFYING 4<br />69 FOCUSES ON PROVIDING 4<br />70 FOCUS ON LEARNING 3<br />71 FOCUS ON IMPLEMENTING 3<br />72 FOCUS ON FACILITATING 3<br />73 FOCUS ON EXPLORING 3<br />74 FOCUS ON EXPLAINING 3<br />75 FOCUS ON ELIMINATING 3<br />76 FOCUS ON EDUCATING 3<br />77 FOCUS ON DEMONSTRATING 3<br />78 FOCUS ON DEFINING 3<br />79 FOCUS ON ATTAINING 3<br />80 FOCUS ON ASSISTING 3<br />81 FOCUS ON ASSESSING 3<br />82 FOCUS ON ADDRESSING 3<br />83 FOCUS ON MEASURING 3<br />84 FOCUS ON REACHING 3<br />85 FOCUS ON STRENGTHENING 3<br />86 FOCUS ON UNDERSTANDING 3<br />87 FOCUSED ON ASSESSING 3<br />88 FOCUSED ON ATTAINING 3<br />89 FOCUSED ON COLLECTING 3<br />90 FOCUSED ON COMPARING 3<br />91 FOCUSED ON CORRECTING 3<br />92 FOCUSED ON DESCRIBING 3<br />93 FOCUSED ON DESIGNING 3<br />94 FOCUSED ON DETERMINING 3<br />95 FOCUSED ON DOCUMENTING 3<br />96 FOCUSED ON DOING 3<br />97 FOCUSED ON ENSURING 3<br />98 FOCUSED ON EXAMINING 3<br />99 FOCUSED ON EXPLAINING 3<br />100 FOCUSED ON GAINING 3+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-81559981475325576792008-12-12T21:25:00.005+09:002008-12-12T21:38:27.358+09:00Makes it easy to Vたまに送られてくるtrippさんからのメールが後々役に立つのでは思い、とりあえずメモ。<br />ドラッグで和訳表示。<br /><br /><span style="font-weight: bold;">Makes it easy to V</span><br /><br />It also <span style="font-weight: bold;">makes it easy to open</span> additional counters at peak times.<br /><span style="color: rgb(0, 0, 0);">混雑時にレジの台数を増やすことも簡単になります。</span><br /><br />The high frequency probe <span style="font-weight:bold;">makes it easy to perform</span> in-circuit measurements.<br /><span style="color: rgb(0, 0, 0);">この高周波数プローブを用いれば、回路内の測定が容易になります。</span><br /><br />This <span style="font-weight:bold;">makes it easy to print</span> postcards for different purposes, including season's greetings and moving notices.<br /><span style="color: rgb(0,0,0);">このおかげで季節のあいさつ状や引っ越しのお知らせなど、さまざまなはがきを簡単に印刷することができます。</span><br /><br />1 MAKES IT EASY TO SEE 14<br />2 MAKE IT EASY TO FIND 10<br />3 MAKES IT EASY TO FIND 8<br />4 MADE IT EASY TO GET 7<br />5 MAKE IT EASY TO GET 7<br />6 MAKE IT EASY TO SEE 7<br />7 MAKES IT EASY TO DO 7<br />8 MAKES IT EASY TO FORGET 7<br />9 MAKES IT EASY TO UNDERSTAND 7<br />10 MAKING IT EASY TO FIND 7<br />11 MAKES IT EASY TO GET 6<br />12 MAKES IT EASY TO CHANGE 4<br />13 MAKES IT EASY TO CONNECT 4<br />14 MAKES IT EASY TO KEEP 4<br />15 MAKE IT EASY TO BE 3<br />16 MAKE IT EASY TO BELIEVE 3<br />17 MADE IT EASY TO FORGET 3<br />18 MADE IT EASY TO DO 3<br />19 MAKE IT EASY TO IDENTIFY 3<br />20 MAKE IT EASY TO IMAGINE 3<br />21 MAKE IT EASY TO MOVE 3<br />22 MAKE IT EASY TO OPEN 3<br />23 MAKE IT EASY TO SHARE 3<br />24 MAKE IT EASY TO SPOT 3<br />25 MAKE IT EASY TO STAY 3<br />26 MAKE IT EASY TO TURN 3<br />27 MAKE IT EASY TO UNDERSTAND 3<br />28 MAKE IT EASY TO USE 3<br />29 MAKES IT EASY TO CHECK 3<br />30 MAKES IT EASY TO CHOOSE 3<br />31 MAKES IT EASY TO DETECT 3<br />32 MAKES IT EASY TO IDENTIFY 3<br />33 MAKES IT EASY TO MOVE 3<br />34 MAKES IT EASY TO USE 3<br />35 MAKING IT EASY TO USE 3<br />36 MADE IT EASY TO DEMONIZE 2<br />37 MADE IT EASY TO BELIEVE 2<br />38 MADE IT EASY TO FOLLOW 2<br />39 MADE IT EASY TO IMAGINE 2<br />40 MADE IT EASY TO KEEP 2<br />41 MADE IT EASY TO OVERLOOK 2<br />42 MADE IT EASY TO TURN 2<br />43 MADE IT EASY TO TRAVEL 2<br />44 MADE IT EASY TO SEE 2<br />45 MADE IT EASY TO SELL 2<br />46 MAKE IT EASY TO ACCESS 2<br />47 MAKE IT EASY TO ADD 2<br />48 MAKE IT EASY TO CHANGE 2<br />49 MAKE IT EASY TO CREATE 2<br />50 MAKE IT EASY TO ENTER 2<br />51 MAKE IT EASY TO FORGET 2<br />52 MAKE IT EASY TO HAVE 2<br />53 MAKE IT EASY TO KEEP 2<br />54 MAKE IT EASY TO LEARN 2<br />55 MAKE IT EASY TO MANIPULATE 2<br />56 MAKE IT EASY TO REMEMBER 2<br />57 MAKE IT EASY TO ROLL 2<br />58 MAKE IT EASY TO SAMPLE 2<br />59 MAKE IT EASY TO SHOP 2<br />60 MAKE IT EASY TO SPEND 2<br />61 MAKE IT EASY TO TAKE 2<br />62 MAKE IT EASY TO TELL 2<br />63 MAKE IT EASY TO UPDATE 2<br />64 MAKE IT EASY TO WATCH 2<br />65 MAKES IT EASY TO ADJUST 2<br />66 MAKES IT EASY TO BE 2<br />67 MAKES IT EASY TO CARRY 2<br />68 MAKES IT EASY TO CLEAN 2<br />69 MAKES IT EASY TO COMPARE 2<br />70 MAKES IT EASY TO CREATE 2<br />71 MAKES IT EASY TO DISTINGUISH 2<br />72 MAKES IT EASY TO DRINK 2<br />73 MAKES IT EASY TO FLOAT 2<br />74 MAKES IT EASY TO HANDLE 2<br />75 MAKES IT EASY TO HAVE 2+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-61592308178718739442008-12-07T15:00:00.006+09:002008-12-07T15:46:01.939+09:00CPUの高速化 C et R<span style="font-weight: bold;">RISC--Reduced Instruction Set Computer--<br />縮小命令セットコンピュータ</span><br />命令の種類を単純な動作をするものだけに絞り、CPU内部構造を単純化した方式のコンピュータ。命令の種類を絞り込むことによって、全ての命令の長さを同じにし、命令の実行サイクルを1クロックに揃え、パイプライン処理によって、命令実行の高速化を実現する。なお、パイプライン処理の効率化のために、レジスタと主メモリ間のデータ転送をロード/ストア命令だけで行い、演算命令はレジスタ間だけで実行するというロードストアアーキテクチャもRISCの大きな特徴。<br /><ul><li>レジスタと主メモリ間のデータ転送をロード/ストア命令だけで行う。</li><li>演算命令はレジスタ間だけで実行。</li><li>全ての命令の長さを同じに、実行サイクルを1クロックに揃える。</li></ul><br /><span style="font-weight: bold;">CISC--Complex Instruction Set Computer</span><br />RISCが登場する以前のCPUは利用者ニーズに対応するうちに、高機能で複雑な命令を持つようになった。その結果内部構造は複雑となり、複雑な命令の中にはマイクロプログラムと呼ばれるCPU内部におかれたプログラムによって実行されるものもある。種類によって命令の長さや実行時間が異なっているなど、効率的なパイプライン制御が行えない原因が多くあった。<br /><ul><li>高機能で複雑な命令を多く持つ。</li><li>命令の種類によって、長さや実行時間が異なっているなど、効率的なパイプライン制御ができない。</li></ul><br /><br />マイクロプログラムに対して、CPUの回路だけで実行できる命令制御のことをワイヤードロジック(布線論理)と呼ぶが、RISCでは単純な命令に絞る代わりに、全ての命令がこのワイヤードロジックで実現されている。+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-85457392262312997282008-12-04T14:53:00.001+09:002008-12-07T15:22:25.309+09:00verilog//file name : and_2.v<span style="color: rgb(0, 0, 0);"><br />...どうやら、モジュール名に"and"は使えないみたい</span><br /><br />module and_2(A,B,X);<span style="color: rgb(0, 0, 0);">...and_2というモジュール</span><br />input A,B;<span style="color: rgb(0, 0, 0);">...変数A,B</span><br />output X;<span style="color: rgb(0, 0, 0);">...(出力の)変数X</span><br /><br />assign X = A&B;<span style="color: rgb(0, 0, 0);">...XはAとBの論理積、という宣言(?)</span><br /><br />endmodule<span style="color: rgb(0, 0, 0);">...モジュール終了</span><br /><br /><br />//file name : and_2_tb.v<span style="color: rgb(0, 0, 0);">...テストベンチファイル</span><br /><br />`include "and_2.v"<span style="color: rgb(0, 0, 0);">...上記のand_2.vの読み込み</span><br /><br />module and_2_tb();<br />reg A,B;<span style="color: rgb(0, 0, 0);">...レジスタにAとBの値を記憶</span><br />wire X;<span style="color: rgb(0, 0, 0);">...出力Xをwireで結線</span><br /><br />and_2 and_2(.A(A),.B(B),.X(X));<br /><br />initial begin<br />A <= 0;<br />B <= 0;<br />#50;<br />$display("[%5d] A:%b,B:%b,X:%b",$stime,A,B,X);<br /><br />A <= 0;<br />B <= 1;<br />#50;<br />$display("[%5d] A:%b,B:%b,X:%b",$stime,A,B,X);<br /><br />A <= 1;<br />B <= 0;<br />#50;<br />$display("[%5d] A:%b,B:%b,X:%b",$stime,A,B,X);<br /><br />A <= 1;<br />B <= 1;<br />#50;<br />$display("[%5d] A:%b,B:%b,X:%b",$stime,A,B,X);<br /><br />$finish;<br />end<br /><br />endmodule+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-6587625275035205412008-12-03T22:12:00.004+09:002009-01-06T14:04:06.529+09:00Design WaveにむけてCPU作れるよう勉強しなきゃね。まずはパタヘネ読みましょう。<br /><br />先ほど教えてもらった、verilogをメモ。<br /><br />テストベンチファイルは、<br /><span style="font-weight: bold;">iverilog </span><span style="font-style: italic;">filename_tb.v</span><br />でコンパイル。<br /><span style="font-weight: bold;">vvp </span><span style="font-style: italic;">a.out</span><br />で実行。<br />次はファイル内の文法などに関して。+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-20506507680580058402008-12-03T00:50:00.002+09:002008-12-03T00:54:39.249+09:00使えそうで使えないコマンドemacsにおいて、<br /><span style="font-weight: bold;">M-x doctor</span><br />と入力すると、emacsと会話が出来る。笑<br /><br /><br />リモートログイン先で、<br /><span style="font-weight: bold;">write </span><span style="font-style: italic;">username</span><br />で、相手(<span style="font-style: italic;">username</span>)のログインウィンドウに一方的にメッセージを残す。<br /><br />ちょっとしたいたずらに。気づかれないこと多々あり。+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-15484660412689373942008-12-02T10:06:00.003+09:002008-12-03T00:55:10.357+09:00psmultianzaiさんに教えてもらった、psの結合コマンド<br /><br />%<span style="font-weight: bold;">psmulti</span> <span style="font-style: italic;">input1.ps input2.ps</span> <span style="font-weight: bold;">-o</span> <span style="font-style: italic;">output.ps</span><br /><br />試してみたけど、なかなかいい感じ。+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-20082661303448737702008-12-01T22:53:00.002+09:002008-12-01T23:04:46.632+09:00PostScript% sample.ps<br />%<br />/cm { 28.3 mul } def <br />/Pi 3.141592 def<br />%<br />/Palatino-Roman findfont <br />1.2 cm scalefont<br />setfont<br />%<br />% <br />/str (I was free today. Nevertheless I took nothing. I will intend to settle a problem tomorrow.) def<br />%<br />% <br />/r str stringwidth pop 1 cm add 2 div Pi div def<br />%<br />0.8 0.5 0.3 setrgbcolor <br />%<br />10 cm 14 cm r sub moveto <br />{<br />( ) dup 0 4 -1 roll put <br />stringwidth pop r div Pi div 180 mul rotate<br />pop<br />} str kshow<br />% <br />showpage <br />%% EOF <br /><br /><br /><br /><br /><br />これをテキストエディタで入力し、拡張子を .ps で保存。<br />それを、gv なりで見てみると面白いんじゃね?っていう、χrosくんからの提案。<br /><br />なかなか面白い。<br /><br />上手いこといじれば画像の連結が可能。らしい。+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-3469896447202598352008-12-01T21:48:00.002+09:002008-12-01T21:51:29.042+09:00省略Cにおいて、関数を使う時、<br /><br />void func1(void){<br />.......<br />}<br /><br />int func2(int){<br />.......<br />}<br /><br />main(){<br />.......<br />}<br /><br />といった感じで、最後にmain()を書けば、宣言しなくてもいいんだね。知らなかった。+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-28263755468794289732008-12-01T19:27:00.003+09:002008-12-03T00:56:32.845+09:00emacs 添付emacsでの添付の仕方。<br /><br /><span style="font-weight: bold;">C-c C-x [tab]</span><br /><br />あとは、メッセージに従ってファイル名なりを入力していく。<br /><br />MIMEタイプは下を参考にする。<br /><a href="http://www.plala.or.jp/access/community/phps/mime.html">http://www.plala.or.jp/access/community/phps/mime.html</a>+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-52722893568849516692008-11-30T03:17:00.004+09:002008-12-03T00:57:38.863+09:00便利だけど覚えにくいコマンド<emacs><br />一括置換<br /><span style="font-weight: bold;"> M-x replace-string</span><br />文字列置換(yで置換、nでそのまま)<br /> <span style="font-weight: bold;">M-%</span><br />指定行へ移動<br /> <span style="font-weight: bold;">M-x goto-line</span><br /><br /><unix><br />オンラインマニュアルの参照<br /> <span style="font-weight: bold;">xman</span><br />割と読みやすいマニュアルを表示する。<br /></unix></emacs>+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0tag:blogger.com,1999:blog-4815273506030783518.post-302898374838556242008-11-29T20:46:00.004+09:002008-12-03T00:58:36.502+09:00log丸太のようにゴロゴロしてる知識をログとしてこのブログに残していこうと思います。<br />なんか、周りがやってるからたまには流れに身を任せつつ。。<br />最低でも一日一つやってけば確実に学習にはなるな。<br /><br /><br />というわけで、記念すべき第一回は、先ほど学んだExcelにおいての両対数グラフの書き方。<br />一応書いておくけど、Microsoft Office Excel 2003を使ってます。<br /><br />データを入れるところは通常のグラフの描き方と同じ。<br /><span style="font-weight: bold;">"グラフ ウィザード - 1/4 - グラフの種類"</span>というウィンドウの<span style="font-weight: bold;">"標準"</span>タブで<span style="font-weight: bold;">【散布図】</span>を選択。<br />"ユーザー設定"タブは変更なし。<br />次に<span style="font-weight: bold;">"グラフ ウィザード - 2/4 - グラフの種類"</span>、<span style="font-weight: bold;">"グラフ ウィザード - 3/4 - グラフの種類"</span>、<span style="font-weight: bold;">"グラフ ウィザード - 4/4 - グラフの種類"</span>のウィンドウが出るけど、それは通常どおり。<br /><br />両対数グラフを書きたいときに間違えるポイントは、<span style="font-weight: bold;">"グラフの種類"</span>を選ぶ時に<span style="font-weight: bold;">"標準"</span>タブで<span style="font-weight: bold;">【折れ線】</span>を選び、<span style="font-weight: bold;">"ユーザー設定"</span>で<span style="font-weight: bold;">【対数】</span>を選ぶこと。これは片対数にしかならない。+ighttp://www.blogger.com/profile/07778135373271211545noreply@blogger.com0